var bibbase_data = {"data":"\"Loading..\"\n\n
\n\n \n\n \n\n \n \n\n \n\n \n \n\n \n\n \n
\n generated by\n \n \"bibbase.org\"\n\n \n
\n \n\n
\n\n \n\n\n
\n\n Excellent! Next you can\n create a new website with this list, or\n embed it in an existing web page by copying & pasting\n any of the following snippets.\n\n
\n JavaScript\n (easiest)\n
\n \n <script src=\"https://bibbase.org/show?bib=https%3A%2F%2Fdblp.org%2Fpid%2F147%2F4019.bib&jsonp=1&noBootstrap=1&jsonp=1\"></script>\n \n
\n\n PHP\n
\n \n <?php\n $contents = file_get_contents(\"https://bibbase.org/show?bib=https%3A%2F%2Fdblp.org%2Fpid%2F147%2F4019.bib&jsonp=1&noBootstrap=1\");\n print_r($contents);\n ?>\n \n
\n\n iFrame\n (not recommended)\n
\n \n <iframe src=\"https://bibbase.org/show?bib=https%3A%2F%2Fdblp.org%2Fpid%2F147%2F4019.bib&jsonp=1&noBootstrap=1\"></iframe>\n \n
\n\n

\n For more details see the documention.\n

\n
\n
\n\n
\n\n This is a preview! To use this list on your own web site\n or create a new web site from it,\n create a free account. The file will be added\n and you will be able to edit it in the File Manager.\n We will show you instructions once you've created your account.\n
\n\n
\n\n

To the site owner:

\n\n

Action required! Mendeley is changing its\n API. In order to keep using Mendeley with BibBase past April\n 14th, you need to:\n

    \n
  1. renew the authorization for BibBase on Mendeley, and
  2. \n
  3. update the BibBase URL\n in your page the same way you did when you initially set up\n this page.\n
  4. \n
\n

\n\n

\n \n \n Fix it now\n

\n
\n\n
\n\n\n
\n \n \n
\n
\n  \n 2025\n \n \n (19)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n Revisiting Main Memory-Based Covert and Side Channel Attacks in the Context of Processing-in-Memory.\n \n \n \n \n\n\n \n Bostanci, F. N.; Kanellopoulos, K.; Olgun, A.; Yaglikçi, A. G.; Yüksel, I. E.; Mansouri-Ghiasi, N.; Bingöl, Z.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In 55th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2025, Naples, Italy, June 23-26, 2025, pages 16–32, 2025. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"RevisitingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/BostanciKOYYMBS25,\n  author       = {F. Nisa Bostanci and\n                  Konstantinos Kanellopoulos and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Nika Mansouri{-}Ghiasi and\n                  Z{\\"{u}}lal Bing{\\"{o}}l and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Revisiting Main Memory-Based Covert and Side Channel Attacks in the\n                  Context of Processing-in-Memory},\n  booktitle    = {55th Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2025, Naples, Italy, June 23-26, 2025},\n  pages        = {16--32},\n  publisher    = {{IEEE}},\n  year         = {2025},\n  url          = {https://doi.org/10.1109/DSN64029.2025.00018},\n  doi          = {10.1109/DSN64029.2025.00018},\n  timestamp    = {Mon, 21 Jul 2025 09:22:54 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/BostanciKOYYMBS25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Variable Read Disturbance: An Experimental Analysis of Temporal Variation in DRAM Read Disturbance.\n \n \n \n \n\n\n \n Olgun, A.; Bostanci, F. N.; Yüksel, I. E.; Canpolat, O.; Luo, H.; Oliveira, G. F.; Yaglikçi, A. G.; Patel, M.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High Performance Computer Architecture, HPCA 2025, Las Vegas, NV, USA, March 1-5, 2025, pages 849–866, 2025. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"VariablePaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/OlgunBYCLOYPM25,\n  author       = {Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Oguzhan Canpolat and\n                  Haocong Luo and\n                  Geraldo F. Oliveira and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Minesh Patel and\n                  Onur Mutlu},\n  title        = {Variable Read Disturbance: An Experimental Analysis of Temporal Variation\n                  in {DRAM} Read Disturbance},\n  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,\n                  {HPCA} 2025, Las Vegas, NV, USA, March 1-5, 2025},\n  pages        = {849--866},\n  publisher    = {{IEEE}},\n  year         = {2025},\n  url          = {https://doi.org/10.1109/HPCA61900.2025.00069},\n  doi          = {10.1109/HPCA61900.2025.00069},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/OlgunBYCLOYPM25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding RowHammer Under Reduced Refresh Latency: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions.\n \n \n \n \n\n\n \n Tugrul, Y. C.; Yaglikçi, A. G.; Yüksel, I. E.; Olgun, A.; Canpolat, O.; Bostanci, N.; Sadrosadati, M.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High Performance Computer Architecture, HPCA 2025, Las Vegas, NV, USA, March 1-5, 2025, pages 867–886, 2025. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/TugrulYYOCBSEM25,\n  author       = {Yahya Can Tugrul and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Oguzhan Canpolat and\n                  Nisa Bostanci and\n                  Mohammad Sadrosadati and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Understanding RowHammer Under Reduced Refresh Latency: Experimental\n                  Analysis of Real {DRAM} Chips and Implications on Future Solutions},\n  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,\n                  {HPCA} 2025, Las Vegas, NV, USA, March 1-5, 2025},\n  pages        = {867--886},\n  publisher    = {{IEEE}},\n  year         = {2025},\n  url          = {https://doi.org/10.1109/HPCA61900.2025.00070},\n  doi          = {10.1109/HPCA61900.2025.00070},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/TugrulYYOCBSEM25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Chronus: Understanding and Securing the Cutting-Edge Industry Solutions to DRAM Read Disturbance.\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; Oliveira, G. F.; Olgun, A.; Bostanci, N.; Yuksel, I. E.; Luo, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High Performance Computer Architecture, HPCA 2025, Las Vegas, NV, USA, March 1-5, 2025, pages 887–905, 2025. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"Chronus:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/CanpolatYOOBYLE25,\n  author       = {Oguzhan Canpolat and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Ataberk Olgun and\n                  Nisa Bostanci and\n                  Ismail Emir Yuksel and\n                  Haocong Luo and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Chronus: Understanding and Securing the Cutting-Edge Industry Solutions\n                  to {DRAM} Read Disturbance},\n  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,\n                  {HPCA} 2025, Las Vegas, NV, USA, March 1-5, 2025},\n  pages        = {887--905},\n  publisher    = {{IEEE}},\n  year         = {2025},\n  url          = {https://doi.org/10.1109/HPCA61900.2025.00071},\n  doi          = {10.1109/HPCA61900.2025.00071},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/CanpolatYOOBYLE25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Proteus: Achieving High-Performance Processing-Using-DRAM with Dynamic Bit-Precision, Adaptive Data Representation, and Flexible Arithmetic.\n \n \n \n \n\n\n \n de Oliveira Junior, G. F.; Kabra, M.; Guo, Y.; Chen, K.; Yaglikçi, A. G.; Soysal, M.; Sadrosadati, M.; Bueno, J. O.; Ghose, S.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n In Proceedings of the 39th ACM International Conference on Supercomputing, ICS 2025, Salt Lake City, UT, USA, June 8-11, 2025, pages 473–494, 2025. ACM\n \n\n\n\n
\n\n\n\n \n \n \"Proteus:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/ics/JuniorKGCYSSBGG25,\n  author       = {Geraldo Francisco de Oliveira Junior and\n                  Mayank Kabra and\n                  Yuxin Guo and\n                  Kangqi Chen and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Melina Soysal and\n                  Mohammad Sadrosadati and\n                  Joaqu{\\'{\\i}}n Olivares Bueno and\n                  Saugata Ghose and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {Proteus: Achieving High-Performance Processing-Using-DRAM with Dynamic\n                  Bit-Precision, Adaptive Data Representation, and Flexible Arithmetic},\n  booktitle    = {Proceedings of the 39th {ACM} International Conference on Supercomputing,\n                  {ICS} 2025, Salt Lake City, UT, USA, June 8-11, 2025},\n  pages        = {473--494},\n  publisher    = {{ACM}},\n  year         = {2025},\n  url          = {https://doi.org/10.1145/3721145.3730420},\n  doi          = {10.1145/3721145.3730420},\n  timestamp    = {Wed, 15 Oct 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/ics/JuniorKGCYSSBGG25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n PuDHammer: Experimental Analysis of Read Disturbance Effects of Processing-using-DRAM in Real DRAM Chips.\n \n \n \n \n\n\n \n Yuksel, I. E.; Sood, A.; Olgun, A.; Canpolat, O.; Luo, H.; Bostanci, N.; Sadrosadati, M.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n In Proceedings of the 52nd Annual International Symposium on Computer Architecture, ISCA 2025, Tokyo, Japan, June 21-25, 2025, pages 757–775, 2025. ACM\n \n\n\n\n
\n\n\n\n \n \n \"PuDHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/YukselSOCLBSYM25,\n  author       = {Ismail Emir Yuksel and\n                  Akash Sood and\n                  Ataberk Olgun and\n                  Oguzhan Canpolat and\n                  Haocong Luo and\n                  Nisa Bostanci and\n                  Mohammad Sadrosadati and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {PuDHammer: Experimental Analysis of Read Disturbance Effects of Processing-using-DRAM\n                  in Real {DRAM} Chips},\n  booktitle    = {Proceedings of the 52nd Annual International Symposium on Computer\n                  Architecture, {ISCA} 2025, Tokyo, Japan, June 21-25, 2025},\n  pages        = {757--775},\n  publisher    = {{ACM}},\n  year         = {2025},\n  url          = {https://doi.org/10.1145/3695053.3731030},\n  doi          = {10.1145/3695053.3731030},\n  timestamp    = {Sun, 06 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/YukselSOCLBSYM25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Revisiting DRAM Read Disturbance: Identifying Inconsistencies Between Experimental Characterization and Device-Level Studies.\n \n \n \n \n\n\n \n Luo, H.; Yüksel, I. E.; Olgun, A.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n In 43rd IEEE VLSI Test Symposium, VTS 2025, Tempe, AZ, USA, April 28-30, 2025, pages 1–8, 2025. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"RevisitingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/vts/LuoYOYM25,\n  author       = {Haocong Luo and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Revisiting {DRAM} Read Disturbance: Identifying Inconsistencies Between\n                  Experimental Characterization and Device-Level Studies},\n  booktitle    = {43rd {IEEE} {VLSI} Test Symposium, {VTS} 2025, Tempe, AZ, USA, April\n                  28-30, 2025},\n  pages        = {1--8},\n  publisher    = {{IEEE}},\n  year         = {2025},\n  url          = {https://doi.org/10.1109/VTS65138.2025.11022861},\n  doi          = {10.1109/VTS65138.2025.11022861},\n  timestamp    = {Mon, 23 Jun 2025 14:44:31 +0200},\n  biburl       = {https://dblp.org/rec/conf/vts/LuoYOYM25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Artifact of \"Chronus: Understanding and Securing the Cutting-Edge Industry Solutions to DRAM Read Disturbance\" (Version 1).\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; de Oliveira Junior, G. F.; Olgun, A.; Bostanci, N.; Yüksel, I. E.; Luo, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n ˘rlhttps://doi.org/10.5281/zenodo.14741186, January 2025.\n Accessed on YYYY-MM-DD.\n\n\n\n
\n\n\n\n \n \n \"ArtifactPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@misc{DBLP:data/11/CanpolatYJOBYLEM25,\n  author       = {Oguzhan Canpolat and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Geraldo Francisco de Oliveira Junior and\n                  Ataberk Olgun and\n                  Nisa Bostanci and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Haocong Luo and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Artifact of "Chronus: Understanding and Securing the Cutting-Edge\n                  Industry Solutions to {DRAM} Read Disturbance" (Version 1)},\n  publisher    = {Zenodo},\n  year         = {2025},\n  month        = jan,\n  howpublished = {\\url{https://doi.org/10.5281/zenodo.14741186}},\n  note         = {Accessed on YYYY-MM-DD.},\n  url          = {https://doi.org/10.5281/zenodo.14741186},\n  doi          = {10.5281/ZENODO.14741186},\n  timestamp    = {Wed, 20 Aug 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/data/11/CanpolatYJOBYLEM25.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Proteus: Achieving High-Performance Processing-Using-DRAM via Dynamic Precision Bit-Serial Arithmetic.\n \n \n \n \n\n\n \n Oliveira, G. F.; Kabra, M.; Guo, Y.; Chen, K.; Yaglikçi, A. G.; Soysal, M.; Sadrosadati, M.; Olivares, J.; Ghose, S.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2501.17466. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"Proteus:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2501-17466,\n  author       = {Geraldo F. Oliveira and\n                  Mayank Kabra and\n                  Yuxin Guo and\n                  Kangqi Chen and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Melina Soysal and\n                  Mohammad Sadrosadati and\n                  Joaqu{\\'{\\i}}n Olivares and\n                  Saugata Ghose and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {Proteus: Achieving High-Performance Processing-Using-DRAM via Dynamic\n                  Precision Bit-Serial Arithmetic},\n  journal      = {CoRR},\n  volume       = {abs/2501.17466},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2501.17466},\n  doi          = {10.48550/ARXIV.2501.17466},\n  eprinttype    = {arXiv},\n  eprint       = {2501.17466},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2501-17466.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding RowHammer Under Reduced Refresh Latency: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions.\n \n \n \n \n\n\n \n Tugrul, Y. C.; Yaglikçi, A. G.; Yüksel, I. E.; Olgun, A.; Canpolat, O.; Bostanci, N.; Sadrosadati, M.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2502.11745. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2502-11745,\n  author       = {Yahya Can Tugrul and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Oguzhan Canpolat and\n                  Nisa Bostanci and\n                  Mohammad Sadrosadati and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Understanding RowHammer Under Reduced Refresh Latency: Experimental\n                  Analysis of Real {DRAM} Chips and Implications on Future Solutions},\n  journal      = {CoRR},\n  volume       = {abs/2502.11745},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2502.11745},\n  doi          = {10.48550/ARXIV.2502.11745},\n  eprinttype    = {arXiv},\n  eprint       = {2502.11745},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2502-11745.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Chronus: Understanding and Securing the Cutting-Edge Industry Solutions to DRAM Read Disturbance.\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; Oliveira, G. F.; Olgun, A.; Bostanci, N.; Yüksel, I. E.; Luo, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2502.12650. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"Chronus:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2502-12650,\n  author       = {Oguzhan Canpolat and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Ataberk Olgun and\n                  Nisa Bostanci and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Haocong Luo and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Chronus: Understanding and Securing the Cutting-Edge Industry Solutions\n                  to {DRAM} Read Disturbance},\n  journal      = {CoRR},\n  volume       = {abs/2502.12650},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2502.12650},\n  doi          = {10.48550/ARXIV.2502.12650},\n  eprinttype    = {arXiv},\n  eprint       = {2502.12650},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2502-12650.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Variable Read Disturbance: An Experimental Analysis of Temporal Variation in DRAM Read Disturbance.\n \n \n \n \n\n\n \n Olgun, A.; Bostanci, F. N.; Yuksel, I. E.; Canpolat, O.; Luo, H.; Oliveira, G. F.; Yaglikçi, A. G.; Patel, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2502.13075. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"VariablePaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2502-13075,\n  author       = {Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Ismail Emir Yuksel and\n                  Oguzhan Canpolat and\n                  Haocong Luo and\n                  Geraldo F. Oliveira and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Minesh Patel and\n                  Onur Mutlu},\n  title        = {Variable Read Disturbance: An Experimental Analysis of Temporal Variation\n                  in {DRAM} Read Disturbance},\n  journal      = {CoRR},\n  volume       = {abs/2502.13075},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2502.13075},\n  doi          = {10.48550/ARXIV.2502.13075},\n  eprinttype    = {arXiv},\n  eprint       = {2502.13075},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2502-13075.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Revisiting DRAM Read Disturbance: Identifying Inconsistencies Between Experimental Characterization and Device-Level Studies.\n \n \n \n \n\n\n \n Luo, H.; Yüksel, I. E.; Olgun, A.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2503.16749. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"RevisitingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2503-16749,\n  author       = {Haocong Luo and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Revisiting {DRAM} Read Disturbance: Identifying Inconsistencies Between\n                  Experimental Characterization and Device-Level Studies},\n  journal      = {CoRR},\n  volume       = {abs/2503.16749},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2503.16749},\n  doi          = {10.48550/ARXIV.2503.16749},\n  eprinttype    = {arXiv},\n  eprint       = {2503.16749},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2503-16749.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding and Mitigating Side and Covert Channel Vulnerabilities Introduced by RowHammer Defenses.\n \n \n \n \n\n\n \n Bostanci, F. N.; Canpolat, O.; Olgun, A.; Yüksel, I. E.; Sadrosadati, M.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2503.17891. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2503-17891,\n  author       = {F. Nisa Bostanci and\n                  Oguzhan Canpolat and\n                  Ataberk Olgun and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Mohammad Sadrosadati and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Understanding and Mitigating Side and Covert Channel Vulnerabilities\n                  Introduced by RowHammer Defenses},\n  journal      = {CoRR},\n  volume       = {abs/2503.17891},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2503.17891},\n  doi          = {10.48550/ARXIV.2503.17891},\n  eprinttype    = {arXiv},\n  eprint       = {2503.17891},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2503-17891.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n PuDHammer: Experimental Analysis of Read Disturbance Effects of Processing-using-DRAM in Real DRAM Chips.\n \n \n \n \n\n\n \n Yuksel, I. E.; Sood, A.; Olgun, A.; Canpolat, O.; Luo, H.; Bostanci, F. N.; Sadrosadati, M.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2506.12947. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"PuDHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2506-12947,\n  author       = {Ismail Emir Yuksel and\n                  Akash Sood and\n                  Ataberk Olgun and\n                  Oguzhan Canpolat and\n                  Haocong Luo and\n                  F. Nisa Bostanci and\n                  Mohammad Sadrosadati and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {PuDHammer: Experimental Analysis of Read Disturbance Effects of Processing-using-DRAM\n                  in Real {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2506.12947},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2506.12947},\n  doi          = {10.48550/ARXIV.2506.12947},\n  eprinttype    = {arXiv},\n  eprint       = {2506.12947},\n  timestamp    = {Thu, 10 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2506-12947.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n TeraAgent: A Distributed Agent-Based Simulation Engine for Simulating Half a Trillion Agents.\n \n \n \n \n\n\n \n Breitwieser, L.; Hesam, A.; Yaglikçi, A. G.; Sadrosadati, M.; Rademakers, F.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2509.24063. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"TeraAgent:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2509-24063,\n  author       = {Lukas Breitwieser and\n                  Ahmad Hesam and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Mohammad Sadrosadati and\n                  Fons Rademakers and\n                  Onur Mutlu},\n  title        = {TeraAgent: {A} Distributed Agent-Based Simulation Engine for Simulating\n                  Half a Trillion Agents},\n  journal      = {CoRR},\n  volume       = {abs/2509.24063},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2509.24063},\n  doi          = {10.48550/ARXIV.2509.24063},\n  eprinttype    = {arXiv},\n  eprint       = {2509.24063},\n  timestamp    = {Tue, 21 Oct 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2509-24063.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n ColumnDisturb: Understanding Column-based Read Disturbance in Real DRAM Chips and Implications for Future Systems.\n \n \n \n \n\n\n \n Yüksel, I. E.; Olgun, A.; Bostanci, F. N.; Luo, H.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2510.14750. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"ColumnDisturb:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2510-14750,\n  author       = {Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Haocong Luo and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {ColumnDisturb: Understanding Column-based Read Disturbance in Real\n                  {DRAM} Chips and Implications for Future Systems},\n  journal      = {CoRR},\n  volume       = {abs/2510.14750},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2510.14750},\n  doi          = {10.48550/ARXIV.2510.14750},\n  eprinttype    = {arXiv},\n  eprint       = {2510.14750},\n  timestamp    = {Fri, 14 Nov 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2510-14750.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Cleaning up the Mess.\n \n \n \n \n\n\n \n Luo, H.; Olgun, A.; Makeenkova, M.; Bostanci, F. N.; Oliveira, G. F.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2510.15744. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"CleaningPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2510-15744,\n  author       = {Haocong Luo and\n                  Ataberk Olgun and\n                  Maria Makeenkova and\n                  F. Nisa Bostanci and\n                  Geraldo F. Oliveira and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Cleaning up the Mess},\n  journal      = {CoRR},\n  volume       = {abs/2510.15744},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2510.15744},\n  doi          = {10.48550/ARXIV.2510.15744},\n  eprinttype    = {arXiv},\n  eprint       = {2510.15744},\n  timestamp    = {Fri, 14 Nov 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2510-15744.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n In-DRAM True Random Number Generation Using Simultaneous Multiple-Row Activation: An Experimental Study of Real DRAM Chips.\n \n \n \n \n\n\n \n Yuksel, I. E.; Olgun, A.; Bostanci, F. N.; Canpolat, O.; Oliveira, G. F.; Sadrosadati, M.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2510.20269. 2025.\n \n\n\n\n
\n\n\n\n \n \n \"In-DRAMPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2510-20269,\n  author       = {Ismail Emir Yuksel and\n                  Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Oguzhan Canpolat and\n                  Geraldo F. Oliveira and\n                  Mohammad Sadrosadati and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {In-DRAM True Random Number Generation Using Simultaneous Multiple-Row\n                  Activation: An Experimental Study of Real {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2510.20269},\n  year         = {2025},\n  url          = {https://doi.org/10.48550/arXiv.2510.20269},\n  doi          = {10.48550/ARXIV.2510.20269},\n  eprinttype    = {arXiv},\n  eprint       = {2510.20269},\n  timestamp    = {Sat, 15 Nov 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2510-20269.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2024\n \n \n (27)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n SpyHammer: Understanding and Exploiting RowHammer Under Fine-Grained Temperature Variations.\n \n \n \n \n\n\n \n Orosa, L.; Rührmair, U.; Yaglikçi, A. G.; Luo, H.; Olgun, A.; Jattke, P.; Patel, M.; Kim, J. S.; Razavi, K.; and Mutlu, O.\n\n\n \n\n\n\n IEEE Access, 12: 80986–81003. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"SpyHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/access/OrosaRYLOJPKRM24,\n  author       = {Lois Orosa and\n                  Ulrich R{\\"{u}}hrmair and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Ataberk Olgun and\n                  Patrick Jattke and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Kaveh Razavi and\n                  Onur Mutlu},\n  title        = {SpyHammer: Understanding and Exploiting RowHammer Under Fine-Grained\n                  Temperature Variations},\n  journal      = {{IEEE} Access},\n  volume       = {12},\n  pages        = {80986--81003},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/ACCESS.2024.3409389},\n  doi          = {10.1109/ACCESS.2024.3409389},\n  timestamp    = {Fri, 02 Aug 2024 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/access/OrosaRYLOJPKRM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Rethinking the Producer-Consumer Relationship in Modern DRAM-Based Systems.\n \n \n \n \n\n\n \n Patel, M.; Shahroodi, T.; Manglik, A.; Yaglikçi, A. G.; Olgun, A.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n IEEE Access, 12: 196207–196239. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"RethinkingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/access/PatelSMYOLM24,\n  author       = {Minesh Patel and\n                  Taha Shahroodi and\n                  Aditya Manglik and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {Rethinking the Producer-Consumer Relationship in Modern DRAM-Based\n                  Systems},\n  journal      = {{IEEE} Access},\n  volume       = {12},\n  pages        = {196207--196239},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/ACCESS.2024.3514377},\n  doi          = {10.1109/ACCESS.2024.3514377},\n  timestamp    = {Sat, 25 Jan 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/access/PatelSMYOLM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator.\n \n \n \n \n\n\n \n Luo, H.; Tugrul, Y. C.; Bostanci, F. N.; Olgun, A.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n IEEE Comput. Archit. Lett., 23(1): 112–116. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"RamulatorPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/cal/LuoTBOYM24,\n  author       = {Haocong Luo and\n                  Yahya Can Tugrul and\n                  F. Nisa Bostanci and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Ramulator 2.0: {A} Modern, Modular, and Extensible {DRAM} Simulator},\n  journal      = {{IEEE} Comput. Archit. Lett.},\n  volume       = {23},\n  number       = {1},\n  pages        = {112--116},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/LCA.2023.3333759},\n  doi          = {10.1109/LCA.2023.3333759},\n  timestamp    = {Fri, 02 Aug 2024 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/cal/LuoTBOYM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n RowPress Vulnerability in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Olgun, A.; Yaglikçi, A. G.; Tugrul, Y. C.; Rhyner, S.; Cavlak, M. B.; Lindegger, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n IEEE Micro, 44(4): 60–69. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"RowPressPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/micro/LuoOYTRCLSM24,\n  author       = {Haocong Luo and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Steve Rhyner and\n                  Meryem Banu Cavlak and\n                  Jo{\\"{e}}l Lindegger and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {RowPress Vulnerability in Modern {DRAM} Chips},\n  journal      = {{IEEE} Micro},\n  volume       = {44},\n  number       = {4},\n  pages        = {60--69},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/MM.2024.3409521},\n  doi          = {10.1109/MM.2024.3409521},\n  timestamp    = {Fri, 20 Sep 2024 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/micro/LuoOYTRCLSM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Sectored DRAM: A Practical Energy-Efficient and High-Performance Fine-Grained DRAM Architecture.\n \n \n \n \n\n\n \n Olgun, A.; Bostanci, F. N.; de Oliveira Junior, G. F.; Tugrul, Y. C.; Bera, R.; Yaglikçi, A. G.; Hassan, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n ACM Trans. Archit. Code Optim., 21(3): 60:1–60:29. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"SectoredPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/taco/OlgunBJTBYHEM24,\n  author       = {Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Geraldo Francisco de Oliveira Junior and\n                  Yahya Can Tugrul and\n                  Rahul Bera and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Hasan Hassan and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Sectored {DRAM:} {A} Practical Energy-Efficient and High-Performance\n                  Fine-Grained {DRAM} Architecture},\n  journal      = {{ACM} Trans. Archit. Code Optim.},\n  volume       = {21},\n  number       = {3},\n  pages        = {60:1--60:29},\n  year         = {2024},\n  url          = {https://doi.org/10.1145/3673653},\n  doi          = {10.1145/3673653},\n  timestamp    = {Wed, 06 Nov 2024 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/taco/OlgunBJTBYHEM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n An Experimental Characterization of Combined RowHammer and RowPress Read Disturbance in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Yüksel, I. E.; Olgun, A.; Yaglikçi, A. G.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2024 - Supplemental Volume, Brisbane, Australia, June 24-27, 2024, pages 6–11, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"AnPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/LuoYOYSM24,\n  author       = {Haocong Luo and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {An Experimental Characterization of Combined RowHammer and RowPress\n                  Read Disturbance in Modern {DRAM} Chips},\n  booktitle    = {54th Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2024 - Supplemental Volume, Brisbane, Australia,\n                  June 24-27, 2024},\n  pages        = {6--11},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/DSN-S60304.2024.00013},\n  doi          = {10.1109/DSN-S60304.2024.00013},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/LuoYOYSM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Read Disturbance in High Bandwidth Memory: A Detailed Experimental Study on HBM2 DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Osseiran, M.; Yaglikçi, A. G.; Tugrul, Y. C.; Luo, H.; Rhyner, S.; Salami, B.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n In 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2024, Brisbane, Australia, June 24-27, 2024, pages 75–89, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"ReadPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/OlgunOYTLRSGM24,\n  author       = {Ataberk Olgun and\n                  Majd Osseiran and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Behzad Salami and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {Read Disturbance in High Bandwidth Memory: {A} Detailed Experimental\n                  Study on {HBM2} {DRAM} Chips},\n  booktitle    = {54th Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2024, Brisbane, Australia, June 24-27, 2024},\n  pages        = {75--89},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/DSN58291.2024.00022},\n  doi          = {10.1109/DSN58291.2024.00022},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/OlgunOYTLRSGM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Simultaneous Many-Row Activation in Off-the-Shelf DRAM Chips: Experimental Characterization and Analysis.\n \n \n \n \n\n\n \n Yüksel, I. E.; Tugrul, Y. C.; Bostanci, F. N.; Oliveira, G. F.; Yaglikçi, A. G.; Olgun, A.; Soysal, M.; Luo, H.; Gómez-Luna, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2024, Brisbane, Australia, June 24-27, 2024, pages 99–114, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"SimultaneousPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/YukselTBOYOSLGSM24,\n  author       = {Ismail Emir Y{\\"{u}}ksel and\n                  Yahya Can Tugrul and\n                  F. Nisa Bostanci and\n                  Geraldo F. Oliveira and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Melina Soysal and\n                  Haocong Luo and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Simultaneous Many-Row Activation in Off-the-Shelf {DRAM} Chips: Experimental\n                  Characterization and Analysis},\n  booktitle    = {54th Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2024, Brisbane, Australia, June 24-27, 2024},\n  pages        = {99--114},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/DSN58291.2024.00024},\n  doi          = {10.1109/DSN58291.2024.00024},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/YukselTBOYOSLGSM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing.\n \n \n \n \n\n\n \n Oliveira, G. F.; Olgun, A.; Yaglikçi, A. G.; Bostanci, F. N.; Gómez-Luna, J.; Ghose, S.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pages 186–203, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"MIMDRAM:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/OliveiraOYBGGM24,\n  author       = {Geraldo F. Oliveira and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  F. Nisa Bostanci and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Saugata Ghose and\n                  Onur Mutlu},\n  title        = {{MIMDRAM:} An End-to-End Processing-Using-DRAM System for High-Throughput,\n                  Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data\n                  Computing},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},\n  pages        = {186--203},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/HPCA57654.2024.00024},\n  doi          = {10.1109/HPCA57654.2024.00024},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/OliveiraOYBGGM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis.\n \n \n \n \n\n\n \n Yüksel, I. E.; Tugrul, Y. C.; Olgun, A.; Bostanci, F. N.; Yaglikçi, A. G.; Oliveira, G. F.; Luo, H.; Gómez-Luna, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pages 280–296, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"Functionally-CompletePaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/YukselTOBYOLGSM24,\n  author       = {Ismail Emir Y{\\"{u}}ksel and\n                  Yahya Can Tugrul and\n                  Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Haocong Luo and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Functionally-Complete Boolean Logic in Real {DRAM} Chips: Experimental\n                  Characterization and Analysis},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},\n  pages        = {280--296},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/HPCA57654.2024.00030},\n  doi          = {10.1109/HPCA57654.2024.00030},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/YukselTOBYOLGSM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Tugrul, Y. C.; Oliveira, G. F.; Yüksel, I. E.; Olgun, A.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pages 560–577, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"SpatialPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/YaglikciTOYOLM24,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Geraldo F. Oliveira and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis\n                  of Real {DRAM} Chips and Implications on Future Solutions},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},\n  pages        = {560--577},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/HPCA57654.2024.00048},\n  doi          = {10.1109/HPCA57654.2024.00048},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/YaglikciTOYOLM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost.\n \n \n \n \n\n\n \n Bostanci, F. N.; Yüksel, I. E.; Olgun, A.; Kanellopoulos, K.; Tugrul, Y. C.; Yaglikçi, A. G.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pages 593–612, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"CoMeT:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/BostanciYOKTYSM24,\n  author       = {F. Nisa Bostanci and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Konstantinos Kanellopoulos and\n                  Yahya Can Tugrul and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at\n                  Low Cost},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},\n  pages        = {593--612},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/HPCA57654.2024.00050},\n  doi          = {10.1109/HPCA57654.2024.00050},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/BostanciYOKTYSM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n BreakHammer: Enhancing RowHammer Mitigations by Carefully Throttling Suspect Threads.\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; Olgun, A.; Yuksel, I. E.; Tugrul, Y. C.; Kanellopoulos, K.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In 57th IEEE/ACM International Symposium on Microarchitecture, MICRO 2024, Austin, TX, USA, November 2-6, 2024, pages 915–934, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"BreakHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/micro/CanpolatYOYTKEM24,\n  author       = {Oguzhan Canpolat and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Ismail Emir Yuksel and\n                  Yahya Can Tugrul and\n                  Konstantinos Kanellopoulos and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {BreakHammer: Enhancing RowHammer Mitigations by Carefully Throttling\n                  Suspect Threads},\n  booktitle    = {57th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO}\n                  2024, Austin, TX, USA, November 2-6, 2024},\n  pages        = {915--934},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/MICRO61859.2024.00072},\n  doi          = {10.1109/MICRO61859.2024.00072},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/micro/CanpolatYOYTKEM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Self-Managing DRAM: A Low-Cost Framework for Enabling Autonomous and Efficient DRAM Maintenance Operations.\n \n \n \n \n\n\n \n Hassan, H.; Olgun, A.; Yaglikçi, A. G.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n In 57th IEEE/ACM International Symposium on Microarchitecture, MICRO 2024, Austin, TX, USA, November 2-6, 2024, pages 949–965, 2024. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"Self-ManagingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/micro/HassanOYLM24,\n  author       = {Hasan Hassan and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {Self-Managing {DRAM:} {A} Low-Cost Framework for Enabling Autonomous\n                  and Efficient {DRAM} Maintenance Operations},\n  booktitle    = {57th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO}\n                  2024, Austin, TX, USA, November 2-6, 2024},\n  pages        = {949--965},\n  publisher    = {{IEEE}},\n  year         = {2024},\n  url          = {https://doi.org/10.1109/MICRO61859.2024.00074},\n  doi          = {10.1109/MICRO61859.2024.00074},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/micro/HassanOYLM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation.\n \n \n \n \n\n\n \n Olgun, A.; Tugrul, Y. C.; Bostanci, N.; Yuksel, I. E.; Luo, H.; Rhyner, S.; Yaglikçi, A. G.; Oliveira, G. F.; and Mutlu, O.\n\n\n \n\n\n\n In Balzarotti, D.; and Xu, W., editor(s), 33rd USENIX Security Symposium, USENIX Security 2024, Philadelphia, PA, USA, August 14-16, 2024, 2024. USENIX Association\n \n\n\n\n
\n\n\n\n \n \n \"ABACuS:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/uss/OlgunTBYLRYOM24,\n  author       = {Ataberk Olgun and\n                  Yahya Can Tugrul and\n                  Nisa Bostanci and\n                  Ismail Emir Yuksel and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Onur Mutlu},\n  editor       = {Davide Balzarotti and\n                  Wenyuan Xu},\n  title        = {ABACuS: All-Bank Activation Counters for Scalable and Low Overhead\n                  RowHammer Mitigation},\n  booktitle    = {33rd {USENIX} Security Symposium, {USENIX} Security 2024, Philadelphia,\n                  PA, USA, August 14-16, 2024},\n  publisher    = {{USENIX} Association},\n  year         = {2024},\n  url          = {https://www.usenix.org/conference/usenixsecurity24/presentation/olgun},\n  timestamp    = {Mon, 22 Jul 2024 17:10:49 +0200},\n  biburl       = {https://dblp.org/rec/conf/uss/OlgunTBYLRYOM24.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Rethinking the Producer-Consumer Relationship in Modern DRAM-Based Systems.\n \n \n \n \n\n\n \n Patel, M.; Shahroodi, T.; Manglik, A.; Yaglikçi, A. G.; Olgun, A.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2401.16279. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"RethinkingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2401-16279,\n  author       = {Minesh Patel and\n                  Taha Shahroodi and\n                  Aditya Manglik and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {Rethinking the Producer-Consumer Relationship in Modern DRAM-Based\n                  Systems},\n  journal      = {CoRR},\n  volume       = {abs/2401.16279},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2401.16279},\n  doi          = {10.48550/ARXIV.2401.16279},\n  eprinttype    = {arXiv},\n  eprint       = {2401.16279},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-16279.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Tugrul, Y. C.; Oliveira, G. F.; Yüksel, I. E.; Olgun, A.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2402.18652. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"SpatialPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2402-18652,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Geraldo F. Oliveira and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis\n                  of Real {DRAM} Chips and Implications on Future Solutions},\n  journal      = {CoRR},\n  volume       = {abs/2402.18652},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2402.18652},\n  doi          = {10.48550/ARXIV.2402.18652},\n  eprinttype    = {arXiv},\n  eprint       = {2402.18652},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-18652.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis.\n \n \n \n \n\n\n \n Yuksel, I. E.; Tugrul, Y. C.; Olgun, A.; Bostanci, F. N.; Yaglikçi, A. G.; Oliveira, G. F.; Luo, H.; Gómez-Luna, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2402.18736. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"Functionally-CompletePaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2402-18736,\n  author       = {Ismail Emir Yuksel and\n                  Yahya Can Tugrul and\n                  Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Haocong Luo and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Functionally-Complete Boolean Logic in Real {DRAM} Chips: Experimental\n                  Characterization and Analysis},\n  journal      = {CoRR},\n  volume       = {abs/2402.18736},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2402.18736},\n  doi          = {10.48550/ARXIV.2402.18736},\n  eprinttype    = {arXiv},\n  eprint       = {2402.18736},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-18736.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost.\n \n \n \n \n\n\n \n Bostanci, F. N.; Yuksel, I. E.; Olgun, A.; Kanellopoulos, K.; Tugrul, Y. C.; Yaglikçi, A. G.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2402.18769. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"CoMeT:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2402-18769,\n  author       = {F. Nisa Bostanci and\n                  Ismail Emir Yuksel and\n                  Ataberk Olgun and\n                  Konstantinos Kanellopoulos and\n                  Yahya Can Tugrul and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at\n                  Low Cost},\n  journal      = {CoRR},\n  volume       = {abs/2402.18769},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2402.18769},\n  doi          = {10.48550/ARXIV.2402.18769},\n  eprinttype    = {arXiv},\n  eprint       = {2402.18769},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-18769.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Processing.\n \n \n \n \n\n\n \n Oliveira, G. F.; Olgun, A.; Yaglikçi, A. G.; Bostanci, F. N.; Gómez-Luna, J.; Ghose, S.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2402.19080. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"MIMDRAM:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2402-19080,\n  author       = {Geraldo F. Oliveira and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  F. Nisa Bostanci and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Saugata Ghose and\n                  Onur Mutlu},\n  title        = {{MIMDRAM:} An End-to-End Processing-Using-DRAM System for High-Throughput,\n                  Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data\n                  Processing},\n  journal      = {CoRR},\n  volume       = {abs/2402.19080},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2402.19080},\n  doi          = {10.48550/ARXIV.2402.19080},\n  eprinttype    = {arXiv},\n  eprint       = {2402.19080},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-19080.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Amplifying Main Memory-Based Timing Covert and Side Channels using Processing-in-Memory Operations.\n \n \n \n \n\n\n \n Kanellopoulos, K.; Bostanci, F. N.; Olgun, A.; Yaglikçi, A. G.; Yuksel, I. E.; Mansouri-Ghiasi, N.; Bingöl, Z.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2404.11284. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"AmplifyingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2404-11284,\n  author       = {Konstantinos Kanellopoulos and\n                  F. Nisa Bostanci and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ismail Emir Yuksel and\n                  Nika Mansouri{-}Ghiasi and\n                  Z{\\"{u}}lal Bing{\\"{o}}l and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Amplifying Main Memory-Based Timing Covert and Side Channels using\n                  Processing-in-Memory Operations},\n  journal      = {CoRR},\n  volume       = {abs/2404.11284},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2404.11284},\n  doi          = {10.48550/ARXIV.2404.11284},\n  eprinttype    = {arXiv},\n  eprint       = {2404.11284},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2404-11284.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Leveraging Adversarial Detection to Enable Scalable and Low Overhead RowHammer Mitigations.\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; Olgun, A.; Yüksel, I. E.; Tugrul, Y. C.; Kanellopoulos, K.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2404.13477. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"LeveragingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2404-13477,\n  author       = {Oguzhan Canpolat and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Yahya Can Tugrul and\n                  Konstantinos Kanellopoulos and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Leveraging Adversarial Detection to Enable Scalable and Low Overhead\n                  RowHammer Mitigations},\n  journal      = {CoRR},\n  volume       = {abs/2404.13477},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2404.13477},\n  doi          = {10.48550/ARXIV.2404.13477},\n  eprinttype    = {arXiv},\n  eprint       = {2404.13477},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2404-13477.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Simultaneous Many-Row Activation in Off-the-Shelf DRAM Chips: Experimental Characterization and Analysis.\n \n \n \n \n\n\n \n Yuksel, I. E.; Tugrul, Y. C.; Bostanci, F. N.; Oliveira, G. F.; Yaglikçi, A. G.; Olgun, A.; Soysal, M.; Luo, H.; Gómez-Luna, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2405.06081. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"SimultaneousPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2405-06081,\n  author       = {Ismail Emir Yuksel and\n                  Yahya Can Tugrul and\n                  F. Nisa Bostanci and\n                  Geraldo F. Oliveira and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Melina Soysal and\n                  Haocong Luo and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {Simultaneous Many-Row Activation in Off-the-Shelf {DRAM} Chips: Experimental\n                  Characterization and Analysis},\n  journal      = {CoRR},\n  volume       = {abs/2405.06081},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2405.06081},\n  doi          = {10.48550/ARXIV.2405.06081},\n  eprinttype    = {arXiv},\n  eprint       = {2405.06081},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2405-06081.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n An Experimental Characterization of Combined RowHammer and RowPress Read Disturbance in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Yüksel, I. E.; Olgun, A.; Yaglikçi, A. G.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2406.13080. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"AnPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2406-13080,\n  author       = {Haocong Luo and\n                  Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {An Experimental Characterization of Combined RowHammer and RowPress\n                  Read Disturbance in Modern {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2406.13080},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2406.13080},\n  doi          = {10.48550/ARXIV.2406.13080},\n  eprinttype    = {arXiv},\n  eprint       = {2406.13080},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2406-13080.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n RowPress Vulnerability in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Olgun, A.; Yaglikçi, A. G.; Tugrul, Y. C.; Rhyner, S.; Cavlak, M. B.; Lindegger, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2406.16153. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"RowPressPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2406-16153,\n  author       = {Haocong Luo and\n                  Ataberk Olgun and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Steve Rhyner and\n                  Meryem Banu Cavlak and\n                  Jo{\\"{e}}l Lindegger and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {RowPress Vulnerability in Modern {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2406.16153},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2406.16153},\n  doi          = {10.48550/ARXIV.2406.16153},\n  eprinttype    = {arXiv},\n  eprint       = {2406.16153},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2406-16153.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding the Security Benefits and Overheads of Emerging Industry Solutions to DRAM Read Disturbance.\n \n \n \n \n\n\n \n Canpolat, O.; Yaglikçi, A. G.; Oliveira, G. F.; Olgun, A.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2406.19094. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2406-19094,\n  author       = {Oguzhan Canpolat and\n                  A. Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Ataberk Olgun and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Understanding the Security Benefits and Overheads of Emerging Industry\n                  Solutions to {DRAM} Read Disturbance},\n  journal      = {CoRR},\n  volume       = {abs/2406.19094},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2406.19094},\n  doi          = {10.48550/ARXIV.2406.19094},\n  eprinttype    = {arXiv},\n  eprint       = {2406.19094},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2406-19094.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Enabling Efficient and Scalable DRAM Read Disturbance Mitigation via New Experimental Insights into Modern DRAM Chips.\n \n \n \n \n\n\n \n Yaglikçi, A. G.\n\n\n \n\n\n\n CoRR, abs/2408.15044. 2024.\n \n\n\n\n
\n\n\n\n \n \n \"EnablingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2408-15044,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i},\n  title        = {Enabling Efficient and Scalable {DRAM} Read Disturbance Mitigation\n                  via New Experimental Insights into Modern {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2408.15044},\n  year         = {2024},\n  url          = {https://doi.org/10.48550/arXiv.2408.15044},\n  doi          = {10.48550/ARXIV.2408.15044},\n  eprinttype    = {arXiv},\n  eprint       = {2408.15044},\n  timestamp    = {Mon, 03 Mar 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2408-15044.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2023\n \n \n (10)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n DRAM Bender: An Extensible and Versatile FPGA-Based Infrastructure to Easily Test State-of-the-Art DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Hassan, H.; Yaglikçi, A. G.; Tugrul, Y. C.; Orosa, L.; Luo, H.; Patel, M.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 42(12): 5098–5112. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"DRAMPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/tcad/OlgunHYTOLPEM23,\n  author       = {Ataberk Olgun and\n                  Hasan Hassan and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Lois Orosa and\n                  Haocong Luo and\n                  Minesh Patel and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {{DRAM} Bender: An Extensible and Versatile FPGA-Based Infrastructure\n                  to Easily Test State-of-the-Art {DRAM} Chips},\n  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},\n  volume       = {42},\n  number       = {12},\n  pages        = {5098--5112},\n  year         = {2023},\n  url          = {https://doi.org/10.1109/TCAD.2023.3282172},\n  doi          = {10.1109/TCAD.2023.3282172},\n  timestamp    = {Mon, 03 Mar 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/tcad/OlgunHYTOLPEM23.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Fundamentally Understanding and Solving RowHammer.\n \n \n \n \n\n\n \n Mutlu, O.; Olgun, A.; and Yaglikçi, A. G.\n\n\n \n\n\n\n In Takahashi, A., editor(s), Proceedings of the 28th Asia and South Pacific Design Automation Conference, ASPDAC 2023, Tokyo, Japan, January 16-19, 2023, pages 461–468, 2023. ACM\n \n\n\n\n
\n\n\n\n \n \n \"FundamentallyPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/aspdac/MutluOY23,\n  author       = {Onur Mutlu and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i},\n  editor       = {Atsushi Takahashi},\n  title        = {Fundamentally Understanding and Solving RowHammer},\n  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,\n                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},\n  pages        = {461--468},\n  publisher    = {{ACM}},\n  year         = {2023},\n  url          = {https://doi.org/10.1145/3566097.3568350},\n  doi          = {10.1145/3566097.3568350},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/aspdac/MutluOY23.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n An Experimental Analysis of RowHammer in HBM2 DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Osseiran, M.; Yaglikçi, A. G.; Tugrul, Y. C.; Luo, H.; Rhyner, S.; Salami, B.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n In 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2023 - Supplemental Volume, Porto, Portugal, June 27-30, 2023, pages 151–156, 2023. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"AnPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/OlgunOYTLRSGM23,\n  author       = {Ataberk Olgun and\n                  Majd Osseiran and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Behzad Salami and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {An Experimental Analysis of RowHammer in {HBM2} {DRAM} Chips},\n  booktitle    = {53rd Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2023 - Supplemental Volume, Porto, Portugal, June\n                  27-30, 2023},\n  pages        = {151--156},\n  publisher    = {{IEEE}},\n  year         = {2023},\n  url          = {https://doi.org/10.1109/DSN-S58398.2023.00042},\n  doi          = {10.1109/DSN-S58398.2023.00042},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/OlgunOYTLRSGM23.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n RowPress: Amplifying Read Disturbance in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Olgun, A.; Yaglikçi, A. G.; Tugrul, Y. C.; Rhyner, S.; Cavlak, M. B.; Lindegger, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n In Solihin, Y.; and Heinrich, M. A., editor(s), Proceedings of the 50th Annual International Symposium on Computer Architecture, ISCA 2023, Orlando, FL, USA, June 17-21, 2023, pages 28:1–28:18, 2023. ACM\n \n\n\n\n
\n\n\n\n \n \n \"RowPress:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/LuoOYTRCLSM23,\n  author       = {Haocong Luo and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Steve Rhyner and\n                  Meryem Banu Cavlak and\n                  Jo{\\"{e}}l Lindegger and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  editor       = {Yan Solihin and\n                  Mark A. Heinrich},\n  title        = {RowPress: Amplifying Read Disturbance in Modern {DRAM} Chips},\n  booktitle    = {Proceedings of the 50th Annual International Symposium on Computer\n                  Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023},\n  pages        = {28:1--28:18},\n  publisher    = {{ACM}},\n  year         = {2023},\n  url          = {https://doi.org/10.1145/3579371.3589063},\n  doi          = {10.1145/3579371.3589063},\n  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/LuoOYTRCLSM23.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n An Experimental Analysis of RowHammer in HBM2 DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Osseiran, M.; Yaglikçi, A. G.; Tugrul, Y. C.; Luo, H.; Rhyner, S.; Salami, B.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2305.17918. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"AnPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2305-17918,\n  author       = {Ataberk Olgun and\n                  Majd Osseiran and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Behzad Salami and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {An Experimental Analysis of RowHammer in {HBM2} {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2305.17918},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2305.17918},\n  doi          = {10.48550/ARXIV.2305.17918},\n  eprinttype    = {arXiv},\n  eprint       = {2305.17918},\n  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-17918.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n RowPress: Amplifying Read Disturbance in Modern DRAM Chips.\n \n \n \n \n\n\n \n Luo, H.; Olgun, A.; Yaglikçi, A. G.; Tugrul, Y. C.; Rhyner, S.; Cavlak, M. B.; Lindegger, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2306.17061. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"RowPress:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2306-17061,\n  author       = {Haocong Luo and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Steve Rhyner and\n                  Meryem Banu Cavlak and\n                  Jo{\\"{e}}l Lindegger and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {RowPress: Amplifying Read Disturbance in Modern {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2306.17061},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2306.17061},\n  doi          = {10.48550/ARXIV.2306.17061},\n  eprinttype    = {arXiv},\n  eprint       = {2306.17061},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-17061.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator.\n \n \n \n \n\n\n \n Luo, H.; Tugrul, Y. C.; Bostanci, F. N.; Olgun, A.; Yaglikçi, A. G.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2308.11030. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"RamulatorPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2308-11030,\n  author       = {Haocong Luo and\n                  Yahya Can Tugrul and\n                  F. Nisa Bostanci and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Onur Mutlu},\n  title        = {Ramulator 2.0: {A} Modern, Modular, and Extensible {DRAM} Simulator},\n  journal      = {CoRR},\n  volume       = {abs/2308.11030},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2308.11030},\n  doi          = {10.48550/ARXIV.2308.11030},\n  eprinttype    = {arXiv},\n  eprint       = {2308.11030},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2308-11030.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation.\n \n \n \n \n\n\n \n Olgun, A.; Tugrul, Y. C.; Bostanci, N.; Yuksel, I. E.; Luo, H.; Rhyner, S.; Yaglikçi, A. G.; Oliveira, G. F.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2310.09977. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"ABACuS:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2310-09977,\n  author       = {Ataberk Olgun and\n                  Yahya Can Tugrul and\n                  Nisa Bostanci and\n                  Ismail Emir Yuksel and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Geraldo F. Oliveira and\n                  Onur Mutlu},\n  title        = {ABACuS: All-Bank Activation Counters for Scalable and Low Overhead\n                  RowHammer Mitigation},\n  journal      = {CoRR},\n  volume       = {abs/2310.09977},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2310.09977},\n  doi          = {10.48550/ARXIV.2310.09977},\n  eprinttype    = {arXiv},\n  eprint       = {2310.09977},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-09977.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding Read Disturbance in High Bandwidth Memory: An Experimental Analysis of Real HBM2 DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Osseiran, M.; Yaglikçi, A. G.; Tugrul, Y. C.; Luo, H.; Rhyner, S.; Salami, B.; Gómez-Luna, J.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2310.14665. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2310-14665,\n  author       = {Ataberk Olgun and\n                  Majd Osseiran and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Haocong Luo and\n                  Steve Rhyner and\n                  Behzad Salami and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Onur Mutlu},\n  title        = {Understanding Read Disturbance in High Bandwidth Memory: An Experimental\n                  Analysis of Real {HBM2} {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2310.14665},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2310.14665},\n  doi          = {10.48550/ARXIV.2310.14665},\n  eprinttype    = {arXiv},\n  eprint       = {2310.14665},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-14665.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n PULSAR: Simultaneous Many-Row Activation for Reliable and High-Performance Computing in Off-the-Shelf DRAM Chips.\n \n \n \n \n\n\n \n Yuksel, I. E.; Tugrul, Y. C.; Bostanci, F. N.; Yaglikçi, A. G.; Olgun, A.; Oliveira, G. F.; Soysal, M.; Luo, H.; Gómez-Luna, J.; Sadrosadati, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2312.02880. 2023.\n \n\n\n\n
\n\n\n\n \n \n \"PULSAR:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2312-02880,\n  author       = {Ismail Emir Yuksel and\n                  Yahya Can Tugrul and\n                  F. Nisa Bostanci and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Geraldo F. Oliveira and\n                  Melina Soysal and\n                  Haocong Luo and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammad Sadrosadati and\n                  Onur Mutlu},\n  title        = {{PULSAR:} Simultaneous Many-Row Activation for Reliable and High-Performance\n                  Computing in Off-the-Shelf {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2312.02880},\n  year         = {2023},\n  url          = {https://doi.org/10.48550/arXiv.2312.02880},\n  doi          = {10.48550/ARXIV.2312.02880},\n  eprinttype    = {arXiv},\n  eprint       = {2312.02880},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2312-02880.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2022\n \n \n (14)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Luo, H.; de Oliviera, G. F.; Olgun, A.; Patel, M.; Park, J.; Hassan, H.; Kim, J. S.; Orosa, L.; and Mutlu, O.\n\n\n \n\n\n\n In 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2022, Baltimore, MD, USA, June 27-30, 2022, pages 475–487, 2022. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/dsn/YaglikciLOOPPHK22,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Geraldo F. de Oliviera and\n                  Ataberk Olgun and\n                  Minesh Patel and\n                  Jisung Park and\n                  Hasan Hassan and\n                  Jeremie S. Kim and\n                  Lois Orosa and\n                  Onur Mutlu},\n  title        = {Understanding RowHammer Under Reduced Wordline Voltage: An Experimental\n                  Study Using Real {DRAM} Devices},\n  booktitle    = {52nd Annual {IEEE/IFIP} International Conference on Dependable Systems\n                  and Networks, {DSN} 2022, Baltimore, MD, USA, June 27-30, 2022},\n  pages        = {475--487},\n  publisher    = {{IEEE}},\n  year         = {2022},\n  url          = {https://doi.org/10.1109/DSN53405.2022.00054},\n  doi          = {10.1109/DSN53405.2022.00054},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/dsn/YaglikciLOOPPHK22.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators.\n \n \n \n \n\n\n \n Bostanci, F. N.; Olgun, A.; Orosa, L.; Yaglikçi, A. G.; Kim, J. S.; Hassan, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2022, Seoul, South Korea, April 2-6, 2022, pages 1141–1155, 2022. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"DR-STRaNGe:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/BostanciOOYKHEM22,\n  author       = {F. Nisa Bostanci and\n                  Ataberk Olgun and\n                  Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Jeremie S. Kim and\n                  Hasan Hassan and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number\n                  Generators},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},\n  pages        = {1141--1155},\n  publisher    = {{IEEE}},\n  year         = {2022},\n  url          = {https://doi.org/10.1109/HPCA53966.2022.00087},\n  doi          = {10.1109/HPCA53966.2022.00087},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/BostanciOOYKHEM22.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Kim, J. S.; Yaglikçi, A. G.; Park, J.; Rotem, E.; Sazeides, Y.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2022, Seoul, South Korea, April 2-6, 2022, pages 1170–1183, 2022. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"DarkGates:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/Haj-YahyaKYPRSM22,\n  author       = {Jawad Haj{-}Yahya and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Jisung Park and\n                  Efraim Rotem and\n                  Yanos Sazeides and\n                  Onur Mutlu},\n  title        = {DarkGates: {A} Hybrid Power-Gating Architecture to Mitigate the Performance\n                  Impact of Dark-Silicon in High Performance Processors},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},\n  pages        = {1170--1183},\n  publisher    = {{IEEE}},\n  year         = {2022},\n  url          = {https://doi.org/10.1109/HPCA53966.2022.00089},\n  doi          = {10.1109/HPCA53966.2022.00089},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/Haj-YahyaKYPRSM22.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Olgun, A.; Patel, M.; Luo, H.; Hassan, H.; Orosa, L.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In 55th IEEE/ACM International Symposium on Microarchitecture, MICRO 2022, Chicago, IL, USA, October 1-5, 2022, pages 815–834, 2022. IEEE\n \n\n\n\n
\n\n\n\n \n \n Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/micro/YaglikciOPLH0EM22,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Minesh Patel and\n                  Haocong Luo and\n                  Hasan Hassan and\n                  Lois Orosa and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf\n                  {DRAM} Chips},\n  booktitle    = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO}\n                  2022, Chicago, IL, USA, October 1-5, 2022},\n  pages        = {815--834},\n  publisher    = {{IEEE}},\n  year         = {2022},\n  url          = {https://doi.org/10.1109/MICRO56248.2022.00062},\n  doi          = {10.1109/MICRO56248.2022.00062},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/micro/YaglikciOPLH0EM22.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators.\n \n \n \n \n\n\n \n Bostanci, N.; Olgun, A.; Orosa, L.; Yaglikçi, A. G.; Kim, J. S.; Hassan, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2201.01385. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"DR-STRaNGe:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2201-01385,\n  author       = {Nisa Bostanci and\n                  Ataberk Olgun and\n                  Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Jeremie S. Kim and\n                  Hasan Hassan and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number\n                  Generators},\n  journal      = {CoRR},\n  volume       = {abs/2201.01385},\n  year         = {2022},\n  url          = {https://arxiv.org/abs/2201.01385},\n  eprinttype    = {arXiv},\n  eprint       = {2201.01385},\n  timestamp    = {Mon, 10 Jan 2022 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-01385.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n A Case for Transparent Reliability in DRAM Systems.\n \n \n \n \n\n\n \n Patel, M.; Shahroodi, T.; Manglik, A.; Yaglikçi, A. G.; Olgun, A.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2204.10378. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"APaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2204-10378,\n  author       = {Minesh Patel and\n                  Taha Shahroodi and\n                  Aditya Manglik and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {A Case for Transparent Reliability in {DRAM} Systems},\n  journal      = {CoRR},\n  volume       = {abs/2204.10378},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2204.10378},\n  doi          = {10.48550/ARXIV.2204.10378},\n  eprinttype    = {arXiv},\n  eprint       = {2204.10378},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-10378.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Luo, H.; de Oliviera, G. F.; Olgun, A.; Patel, M.; Park, J.; Hassan, H.; Kim, J. S.; Orosa, L.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2206.09999. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2206-09999,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Geraldo F. de Oliviera and\n                  Ataberk Olgun and\n                  Minesh Patel and\n                  Jisung Park and\n                  Hasan Hassan and\n                  Jeremie S. Kim and\n                  Lois Orosa and\n                  Onur Mutlu},\n  title        = {Understanding RowHammer Under Reduced Wordline Voltage: An Experimental\n                  Study Using Real {DRAM} Devices},\n  journal      = {CoRR},\n  volume       = {abs/2206.09999},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2206.09999},\n  doi          = {10.48550/ARXIV.2206.09999},\n  eprinttype    = {arXiv},\n  eprint       = {2206.09999},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2206-09999.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n A Case for Self-Managing DRAM Chips: Improving Performance, Efficiency, Reliability, and Security via Autonomous in-DRAM Maintenance Operations.\n \n \n \n \n\n\n \n Hassan, H.; Olgun, A.; Yaglikçi, A. G.; Luo, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2207.13358. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"APaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2207-13358,\n  author       = {Hasan Hassan and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Onur Mutlu},\n  title        = {A Case for Self-Managing {DRAM} Chips: Improving Performance, Efficiency,\n                  Reliability, and Security via Autonomous in-DRAM Maintenance Operations},\n  journal      = {CoRR},\n  volume       = {abs/2207.13358},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2207.13358},\n  doi          = {10.48550/ARXIV.2207.13358},\n  eprinttype    = {arXiv},\n  eprint       = {2207.13358},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-13358.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Sectored DRAM: An Energy-Efficient High-Throughput and Practical Fine-Grained DRAM Architecture.\n \n \n \n \n\n\n \n Olgun, A.; Bostanci, F. N.; Oliveira, G. F.; Tugrul, Y. C.; Bera, R.; Yaglikçi, A. G.; Hassan, H.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2207.13795. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"SectoredPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2207-13795,\n  author       = {Ataberk Olgun and\n                  F. Nisa Bostanci and\n                  Geraldo F. Oliveira and\n                  Yahya Can Tugrul and\n                  Rahul Bera and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Hasan Hassan and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {Sectored {DRAM:} An Energy-Efficient High-Throughput and Practical\n                  Fine-Grained {DRAM} Architecture},\n  journal      = {CoRR},\n  volume       = {abs/2207.13795},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2207.13795},\n  doi          = {10.48550/ARXIV.2207.13795},\n  eprinttype    = {arXiv},\n  eprint       = {2207.13795},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-13795.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Olgun, A.; Patel, M.; Luo, H.; Hassan, H.; Orosa, L.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2209.10198. 2022.\n \n\n\n\n
\n\n\n\n \n \n Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2209-10198,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Ataberk Olgun and\n                  Minesh Patel and\n                  Haocong Luo and\n                  Hasan Hassan and\n                  Lois Orosa and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf\n                  {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2209.10198},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2209.10198},\n  doi          = {10.48550/ARXIV.2209.10198},\n  eprinttype    = {arXiv},\n  eprint       = {2209.10198},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-10198.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n SpyHammer: Using RowHammer to Remotely Spy on Temperature.\n \n \n \n \n\n\n \n Orosa, L.; Rührmair, U.; Yaglikçi, A. G.; Luo, H.; Olgun, A.; Jattke, P.; Patel, M.; Kim, J. S.; Razavi, K.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2210.04084. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"SpyHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2210-04084,\n  author       = {Lois Orosa and\n                  Ulrich R{\\"{u}}hrmair and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Ataberk Olgun and\n                  Patrick Jattke and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Kaveh Razavi and\n                  Onur Mutlu},\n  title        = {SpyHammer: Using RowHammer to Remotely Spy on Temperature},\n  journal      = {CoRR},\n  volume       = {abs/2210.04084},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2210.04084},\n  doi          = {10.48550/ARXIV.2210.04084},\n  eprinttype    = {arXiv},\n  eprint       = {2210.04084},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2210-04084.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Hassan, H.; Yaglikçi, A. G.; Tugrul, Y. C.; Orosa, L.; Luo, H.; Patel, M.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2211.05838. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"DRAMPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2211-05838,\n  author       = {Ataberk Olgun and\n                  Hasan Hassan and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Yahya Can Tugrul and\n                  Lois Orosa and\n                  Haocong Luo and\n                  Minesh Patel and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {{DRAM} Bender: An Extensible and Versatile FPGA-based Infrastructure\n                  to Easily Test State-of-the-art {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2211.05838},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2211.05838},\n  doi          = {10.48550/ARXIV.2211.05838},\n  eprinttype    = {arXiv},\n  eprint       = {2211.05838},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2211-05838.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Fundamentally Understanding and Solving RowHammer.\n \n \n \n \n\n\n \n Mutlu, O.; Olgun, A.; and Yaglikçi, A. G.\n\n\n \n\n\n\n CoRR, abs/2211.07613. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"FundamentallyPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2211-07613,\n  author       = {Onur Mutlu and\n                  Ataberk Olgun and\n                  Abdullah Giray Yaglik{\\c{c}}i},\n  title        = {Fundamentally Understanding and Solving RowHammer},\n  journal      = {CoRR},\n  volume       = {abs/2211.07613},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2211.07613},\n  doi          = {10.48550/ARXIV.2211.07613},\n  eprinttype    = {arXiv},\n  eprint       = {2211.07613},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2211-07613.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs.\n \n \n \n \n\n\n \n Yüksel, I. E.; Olgun, A.; Salami, B.; Bostanci, F. N.; Tugrul, Y. C.; Yaglikçi, A. G.; Mansouri-Ghiasi, N.; Mutlu, O.; and Ergin, O.\n\n\n \n\n\n\n CoRR, abs/2211.10894. 2022.\n \n\n\n\n
\n\n\n\n \n \n \"TuRaN:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2211-10894,\n  author       = {Ismail Emir Y{\\"{u}}ksel and\n                  Ataberk Olgun and\n                  Behzad Salami and\n                  F. Nisa Bostanci and\n                  Yahya Can Tugrul and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Nika Mansouri{-}Ghiasi and\n                  Onur Mutlu and\n                  Oguz Ergin},\n  title        = {TuRaN: True Random Number Generation Using Supply Voltage Underscaling\n                  in SRAMs},\n  journal      = {CoRR},\n  volume       = {abs/2211.10894},\n  year         = {2022},\n  url          = {https://doi.org/10.48550/arXiv.2211.10894},\n  doi          = {10.48550/ARXIV.2211.10894},\n  eprinttype    = {arXiv},\n  eprint       = {2211.10894},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2211-10894.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2021\n \n \n (10)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Patel, M.; Kim, J. S.; Azizi, R.; Olgun, A.; Orosa, L.; Hassan, H.; Park, J.; Kanellopoulos, K.; Shahroodi, T.; Ghose, S.; and Mutlu, O.\n\n\n \n\n\n\n In IEEE International Symposium on High-Performance Computer Architecture, HPCA 2021, Seoul, South Korea, February 27 - March 3, 2021, pages 345–358, 2021. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"BlockHammer:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/hpca/YaglikciPKAO0H021,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Roknoddin Azizi and\n                  Ataberk Olgun and\n                  Lois Orosa and\n                  Hasan Hassan and\n                  Jisung Park and\n                  Konstantinos Kanellopoulos and\n                  Taha Shahroodi and\n                  Saugata Ghose and\n                  Onur Mutlu},\n  title        = {BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed\n                  {DRAM} Rows},\n  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,\n                  {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021},\n  pages        = {345--358},\n  publisher    = {{IEEE}},\n  year         = {2021},\n  url          = {https://doi.org/10.1109/HPCA51647.2021.00037},\n  doi          = {10.1109/HPCA51647.2021.00037},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/hpca/YaglikciPKAO0H021.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Patel, M.; Yaglikçi, A. G.; Luo, H.; Kim, J. S.; Bostanci, N.; Vijaykumar, N.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n In 48th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2021, Virtual Event / Valencia, Spain, June 14-18, 2021, pages 944–957, 2021. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"QUAC-TRNG:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/OlgunPYLKBVEM21,\n  author       = {Ataberk Olgun and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Jeremie S. Kim and\n                  Nisa Bostanci and\n                  Nandita Vijaykumar and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {{QUAC-TRNG:} High-Throughput True Random Number Generation Using Quadruple\n                  Row Activation in Commodity {DRAM} Chips},\n  booktitle    = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture,\n                  {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021},\n  pages        = {944--957},\n  publisher    = {{IEEE}},\n  year         = {2021},\n  url          = {https://doi.org/10.1109/ISCA52012.2021.00078},\n  doi          = {10.1109/ISCA52012.2021.00078},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/OlgunPYLKBVEM21.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n IChannels: Exploiting Current Management Mechanisms to Create Covert Channels in Modern Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Orosa, L.; Kim, J. S.; Gómez-Luna, J.; Yaglikçi, A. G.; Alser, M.; Puddu, I.; and Mutlu, O.\n\n\n \n\n\n\n In 48th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2021, Virtual Event / Valencia, Spain, June 14-18, 2021, pages 985–998, 2021. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"IChannels:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/Haj-Yahya0KGYAP21,\n  author       = {Jawad Haj{-}Yahya and\n                  Lois Orosa and\n                  Jeremie S. Kim and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Mohammed Alser and\n                  Ivan Puddu and\n                  Onur Mutlu},\n  title        = {IChannels: Exploiting Current Management Mechanisms to Create Covert\n                  Channels in Modern Processors},\n  booktitle    = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture,\n                  {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021},\n  pages        = {985--998},\n  publisher    = {{IEEE}},\n  year         = {2021},\n  url          = {https://doi.org/10.1109/ISCA52012.2021.00081},\n  doi          = {10.1109/ISCA52012.2021.00081},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/Haj-Yahya0KGYAP21.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n A Deeper Look into RowHammer's Sensitivities: Experimental Analysis of Real DRAM Chipsand Implications on Future Attacks and Defenses.\n \n \n \n \n\n\n \n Orosa, L.; Yaglikçi, A. G.; Luo, H.; Olgun, A.; Park, J.; Hassan, H.; Patel, M.; Kim, J. S.; and Mutlu, O.\n\n\n \n\n\n\n In MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021, pages 1182–1197, 2021. ACM\n \n\n\n\n
\n\n\n\n \n \n \"APaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/micro/0001YLO0HPKM21,\n  author       = {Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Ataberk Olgun and\n                  Jisung Park and\n                  Hasan Hassan and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Onur Mutlu},\n  title        = {A Deeper Look into RowHammer's Sensitivities: Experimental Analysis\n                  of Real {DRAM} Chipsand Implications on Future Attacks and Defenses},\n  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,\n                  Virtual Event, Greece, October 18-22, 2021},\n  pages        = {1182--1197},\n  publisher    = {{ACM}},\n  year         = {2021},\n  url          = {https://doi.org/10.1145/3466752.3480069},\n  doi          = {10.1145/3466752.3480069},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/micro/0001YLO0HPKM21.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Patel, M.; Kim, J. S.; Azizi, R.; Olgun, A.; Orosa, L.; Hassan, H.; Park, J.; Kanellopoulos, K.; Shahroodi, T.; Ghose, S.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2102.05981. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"BlockHammer:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2102-05981,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Roknoddin Azizi and\n                  Ataberk Olgun and\n                  Lois Orosa and\n                  Hasan Hassan and\n                  Jisung Park and\n                  Konstantinos Kanellopoulos and\n                  Taha Shahroodi and\n                  Saugata Ghose and\n                  Onur Mutlu},\n  title        = {BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed\n                  {DRAM} Rows},\n  journal      = {CoRR},\n  volume       = {abs/2102.05981},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2102.05981},\n  eprinttype    = {arXiv},\n  eprint       = {2102.05981},\n  timestamp    = {Thu, 18 Feb 2021 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-05981.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM Chips.\n \n \n \n \n\n\n \n Olgun, A.; Patel, M.; Yaglikçi, A. G.; Luo, H.; Kim, J. S.; Bostanci, N.; Vijaykumar, N.; Ergin, O.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2105.08955. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"QUAC-TRNG:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2105-08955,\n  author       = {Ataberk Olgun and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Jeremie S. Kim and\n                  Nisa Bostanci and\n                  Nandita Vijaykumar and\n                  Oguz Ergin and\n                  Onur Mutlu},\n  title        = {{QUAC-TRNG:} High-Throughput True Random Number Generation Using Quadruple\n                  Row Activation in Commodity {DRAM} Chips},\n  journal      = {CoRR},\n  volume       = {abs/2105.08955},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2105.08955},\n  eprinttype    = {arXiv},\n  eprint       = {2105.08955},\n  timestamp    = {Mon, 31 May 2021 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-08955.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n IChannels: Exploiting Current Management Mechanisms to Create Covert Channels in Modern Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Kim, J. S.; Yaglikçi, A. G.; Puddu, I.; Orosa, L.; Gómez-Luna, J.; Alser, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2106.05050. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"IChannels:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2106-05050,\n  author       = {Jawad Haj{-}Yahya and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Ivan Puddu and\n                  Lois Orosa and\n                  Juan G{\\'{o}}mez{-}Luna and\n                  Mohammed Alser and\n                  Onur Mutlu},\n  title        = {IChannels: Exploiting Current Management Mechanisms to Create Covert\n                  Channels in Modern Processors},\n  journal      = {CoRR},\n  volume       = {abs/2106.05050},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2106.05050},\n  eprinttype    = {arXiv},\n  eprint       = {2106.05050},\n  timestamp    = {Wed, 16 Jun 2021 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2106-05050.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Security Analysis of the Silver Bullet Technique for RowHammer Prevention.\n \n \n \n \n\n\n \n Yaglikçi, A. G.; Kim, J. S.; Devaux, F.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2106.07084. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"SecurityPaper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2106-07084,\n  author       = {Abdullah Giray Yaglik{\\c{c}}i and\n                  Jeremie S. Kim and\n                  Fabrice Devaux and\n                  Onur Mutlu},\n  title        = {Security Analysis of the Silver Bullet Technique for RowHammer Prevention},\n  journal      = {CoRR},\n  volume       = {abs/2106.07084},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2106.07084},\n  eprinttype    = {arXiv},\n  eprint       = {2106.07084},\n  timestamp    = {Wed, 16 Jun 2021 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2106-07084.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n A Deeper Look into RowHammer's Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses.\n \n \n \n \n\n\n \n Orosa, L.; Yaglikçi, A. G.; Luo, H.; Olgun, A.; Park, J.; Hassan, H.; Patel, M.; Kim, J. S.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2110.10291. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"APaper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2110-10291,\n  author       = {Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Haocong Luo and\n                  Ataberk Olgun and\n                  Jisung Park and\n                  Hasan Hassan and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Onur Mutlu},\n  title        = {A Deeper Look into RowHammer's Sensitivities: Experimental Analysis\n                  of Real {DRAM} Chips and Implications on Future Attacks and Defenses},\n  journal      = {CoRR},\n  volume       = {abs/2110.10291},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2110.10291},\n  eprinttype    = {arXiv},\n  eprint       = {2110.10291},\n  timestamp    = {Fri, 29 Oct 2021 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-10291.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Kim, J. S.; Yaglikçi, A. G.; Park, J.; Rotem, E.; Sazeides, Y.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2112.11587. 2021.\n \n\n\n\n
\n\n\n\n \n \n \"DarkGates:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2112-11587,\n  author       = {Jawad Haj{-}Yahya and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Jisung Park and\n                  Efraim Rotem and\n                  Yanos Sazeides and\n                  Onur Mutlu},\n  title        = {DarkGates: {A} Hybrid Power-Gating Architecture to Mitigate the Performance\n                  Impact of Dark-Silicon in High Performance Processors},\n  journal      = {CoRR},\n  volume       = {abs/2112.11587},\n  year         = {2021},\n  url          = {https://arxiv.org/abs/2112.11587},\n  eprinttype    = {arXiv},\n  eprint       = {2112.11587},\n  timestamp    = {Tue, 04 Jan 2022 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-11587.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2020\n \n \n (6)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling for Energy Efficient Mobile Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Alser, M.; Kim, J. S.; Yaglikçi, A. G.; Vijaykumar, N.; Rotem, E.; and Mutlu, O.\n\n\n \n\n\n\n In 47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020, pages 227–240, 2020. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"SysScale:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/Haj-YahyaAKYVRM20,\n  author       = {Jawad Haj{-}Yahya and\n                  Mohammed Alser and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Nandita Vijaykumar and\n                  Efraim Rotem and\n                  Onur Mutlu},\n  title        = {SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling\n                  for Energy Efficient Mobile Processors},\n  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,\n                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},\n  pages        = {227--240},\n  publisher    = {{IEEE}},\n  year         = {2020},\n  url          = {https://doi.org/10.1109/ISCA45697.2020.00029},\n  doi          = {10.1109/ISCA45697.2020.00029},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/Haj-YahyaAKYVRM20.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques.\n \n \n \n \n\n\n \n Kim, J. S.; Patel, M.; Yaglikçi, A. G.; Hassan, H.; Azizi, R.; Orosa, L.; and Mutlu, O.\n\n\n \n\n\n\n In 47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020, pages 638–651, 2020. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"RevisitingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/KimPYHAOM20,\n  author       = {Jeremie S. Kim and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Hasan Hassan and\n                  Roknoddin Azizi and\n                  Lois Orosa and\n                  Onur Mutlu},\n  title        = {Revisiting RowHammer: An Experimental Analysis of Modern {DRAM} Devices\n                  and Mitigation Techniques},\n  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,\n                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},\n  pages        = {638--651},\n  publisher    = {{IEEE}},\n  year         = {2020},\n  url          = {https://doi.org/10.1109/ISCA45697.2020.00059},\n  doi          = {10.1109/ISCA45697.2020.00059},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/KimPYHAOM20.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off.\n \n \n \n \n\n\n \n Luo, H.; Shahroodi, T.; Hassan, H.; Patel, M.; Yaglikçi, A. G.; Orosa, L.; Park, J.; and Mutlu, O.\n\n\n \n\n\n\n In 47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020, pages 666–679, 2020. IEEE\n \n\n\n\n
\n\n\n\n \n \n \"CLR-DRAM:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/LuoSHPYOPM20,\n  author       = {Haocong Luo and\n                  Taha Shahroodi and\n                  Hasan Hassan and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Lois Orosa and\n                  Jisung Park and\n                  Onur Mutlu},\n  title        = {{CLR-DRAM:} {A} Low-Cost {DRAM} Architecture Enabling Dynamic Capacity-Latency\n                  Trade-Off},\n  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,\n                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},\n  pages        = {666--679},\n  publisher    = {{IEEE}},\n  year         = {2020},\n  url          = {https://doi.org/10.1109/ISCA45697.2020.00061},\n  doi          = {10.1109/ISCA45697.2020.00061},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/LuoSHPYOPM20.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling for Energy Efficient Mobile Processors.\n \n \n \n \n\n\n \n Haj-Yahya, J.; Alser, M.; Kim, J. S.; Yaglikçi, A. G.; Vijaykumar, N.; Rotem, E.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2005.07613. 2020.\n \n\n\n\n
\n\n\n\n \n \n \"SysScale:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2005-07613,\n  author       = {Jawad Haj{-}Yahya and\n                  Mohammed Alser and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Nandita Vijaykumar and\n                  Efraim Rotem and\n                  Onur Mutlu},\n  title        = {SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling\n                  for Energy Efficient Mobile Processors},\n  journal      = {CoRR},\n  volume       = {abs/2005.07613},\n  year         = {2020},\n  url          = {https://arxiv.org/abs/2005.07613},\n  eprinttype    = {arXiv},\n  eprint       = {2005.07613},\n  timestamp    = {Sat, 25 Jul 2020 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-07613.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off.\n \n \n \n \n\n\n \n Luo, H.; Shahroodi, T.; Hassan, H.; Patel, M.; Yaglikçi, A. G.; Orosa, L.; Park, J.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2005.12775. 2020.\n \n\n\n\n
\n\n\n\n \n \n \"CLR-DRAM:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2005-12775,\n  author       = {Haocong Luo and\n                  Taha Shahroodi and\n                  Hasan Hassan and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Lois Orosa and\n                  Jisung Park and\n                  Onur Mutlu},\n  title        = {{CLR-DRAM:} {A} Low-Cost {DRAM} Architecture Enabling Dynamic Capacity-Latency\n                  Trade-Off},\n  journal      = {CoRR},\n  volume       = {abs/2005.12775},\n  year         = {2020},\n  url          = {https://arxiv.org/abs/2005.12775},\n  eprinttype    = {arXiv},\n  eprint       = {2005.12775},\n  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-12775.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques.\n \n \n \n \n\n\n \n Kim, J. S.; Patel, M.; Yaglikçi, A. G.; Hassan, H.; Azizi, R.; Orosa, L.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/2005.13121. 2020.\n \n\n\n\n
\n\n\n\n \n \n \"RevisitingPaper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-2005-13121,\n  author       = {Jeremie S. Kim and\n                  Minesh Patel and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Hasan Hassan and\n                  Roknoddin Azizi and\n                  Lois Orosa and\n                  Onur Mutlu},\n  title        = {Revisiting RowHammer: An Experimental Analysis of Modern {DRAM} Devices\n                  and Mitigation Techniques},\n  journal      = {CoRR},\n  volume       = {abs/2005.13121},\n  year         = {2020},\n  url          = {https://arxiv.org/abs/2005.13121},\n  eprinttype    = {arXiv},\n  eprint       = {2005.13121},\n  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-13121.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2019\n \n \n (3)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n CROW: a low-cost substrate for improving DRAM performance, energy efficiency, and reliability.\n \n \n \n \n\n\n \n Hassan, H.; Patel, M.; Kim, J. S.; Yaglikçi, A. G.; Vijaykumar, N.; Mansouri-Ghiasi, N.; Ghose, S.; and Mutlu, O.\n\n\n \n\n\n\n In Manne, S. B.; Hunter, H. C.; and Altman, E. R., editor(s), Proceedings of the 46th International Symposium on Computer Architecture, ISCA 2019, Phoenix, AZ, USA, June 22-26, 2019, pages 129–142, 2019. ACM\n \n\n\n\n
\n\n\n\n \n \n \"CROW:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/isca/HassanPKYVMGM19,\n  author       = {Hasan Hassan and\n                  Minesh Patel and\n                  Jeremie S. Kim and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Nandita Vijaykumar and\n                  Nika Mansouri{-}Ghiasi and\n                  Saugata Ghose and\n                  Onur Mutlu},\n  editor       = {Srilatha Bobbie Manne and\n                  Hillery C. Hunter and\n                  Erik R. Altman},\n  title        = {{CROW:} a low-cost substrate for improving {DRAM} performance, energy\n                  efficiency, and reliability},\n  booktitle    = {Proceedings of the 46th International Symposium on Computer Architecture,\n                  {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019},\n  pages        = {129--142},\n  publisher    = {{ACM}},\n  year         = {2019},\n  url          = {https://doi.org/10.1145/3307650.3322231},\n  doi          = {10.1145/3307650.3322231},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/isca/HassanPKYVMGM19.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM.\n \n \n \n \n\n\n \n Koppula, S.; Orosa, L.; Yaglikçi, A. G.; Azizi, R.; Shahroodi, T.; Kanellopoulos, K.; and Mutlu, O.\n\n\n \n\n\n\n In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2019, Columbus, OH, USA, October 12-16, 2019, pages 166–181, 2019. ACM\n \n\n\n\n
\n\n\n\n \n \n \"EDEN:Paper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/micro/KoppulaOYASKM19,\n  author       = {Skanda Koppula and\n                  Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Roknoddin Azizi and\n                  Taha Shahroodi and\n                  Konstantinos Kanellopoulos and\n                  Onur Mutlu},\n  title        = {{EDEN:} Enabling Energy-Efficient, High-Performance Deep Neural Network\n                  Inference Using Approximate {DRAM}},\n  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium\n                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,\n                  2019},\n  pages        = {166--181},\n  publisher    = {{ACM}},\n  year         = {2019},\n  url          = {https://doi.org/10.1145/3352460.3358280},\n  doi          = {10.1145/3352460.3358280},\n  timestamp    = {Fri, 04 Jul 2025 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/micro/KoppulaOYASKM19.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM.\n \n \n \n \n\n\n \n Koppula, S.; Orosa, L.; Yaglikçi, A. G.; Azizi, R.; Shahroodi, T.; Kanellopoulos, K.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/1910.05340. 2019.\n \n\n\n\n
\n\n\n\n \n \n \"EDEN:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-1910-05340,\n  author       = {Skanda Koppula and\n                  Lois Orosa and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Roknoddin Azizi and\n                  Taha Shahroodi and\n                  Konstantinos Kanellopoulos and\n                  Onur Mutlu},\n  title        = {{EDEN:} Enabling Energy-Efficient, High-Performance Deep Neural Network\n                  Inference Using Approximate {DRAM}},\n  journal      = {CoRR},\n  volume       = {abs/1910.05340},\n  year         = {2019},\n  url          = {http://arxiv.org/abs/1910.05340},\n  eprinttype    = {arXiv},\n  eprint       = {1910.05340},\n  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-05340.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2018\n \n \n (4)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study.\n \n \n \n \n\n\n \n Ghose, S.; Yaglikçi, A. G.; Gupta, R.; Lee, D.; Kudrolli, K.; Liu, W. X.; Hassan, H.; Chang, K. K.; Chatterjee, N.; Agrawal, A.; O'Connor, M.; and Mutlu, O.\n\n\n \n\n\n\n Proc. ACM Meas. Anal. Comput. Syst., 2(3): 38:1–38:41. 2018.\n \n\n\n\n
\n\n\n\n \n \n \"WhatPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/pomacs/GhoseYGLKLHCCAO18,\n  author       = {Saugata Ghose and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Raghav Gupta and\n                  Donghyuk Lee and\n                  Kais Kudrolli and\n                  William X. Liu and\n                  Hasan Hassan and\n                  Kevin K. Chang and\n                  Niladrish Chatterjee and\n                  Aditya Agrawal and\n                  Mike O'Connor and\n                  Onur Mutlu},\n  title        = {What Your {DRAM} Power Models Are Not Telling You: Lessons from a\n                  Detailed Experimental Study},\n  journal      = {Proc. {ACM} Meas. Anal. Comput. Syst.},\n  volume       = {2},\n  number       = {3},\n  pages        = {38:1--38:41},\n  year         = {2018},\n  url          = {https://doi.org/10.1145/3224419},\n  doi          = {10.1145/3224419},\n  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/pomacs/GhoseYGLKLHCCAO18.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study.\n \n \n \n \n\n\n \n Ghose, S.; Yaglikçi, A. G.; Gupta, R.; Lee, D.; Kudrolli, K.; Liu, W. X.; Hassan, H.; Chang, K. K.; Chatterjee, N.; Agrawal, A.; O'Connor, M.; and Mutlu, O.\n\n\n \n\n\n\n In Psounis, K.; Akella, A.; and Wierman, A., editor(s), Abstracts of the 2018 ACM International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2018, Irvine, CA, USA, June 18-22, 2018, pages 110, 2018. ACM\n \n\n\n\n
\n\n\n\n \n \n \"WhatPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/sigmetrics/GhoseYGLKLHCCAO18,\n  author       = {Saugata Ghose and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Raghav Gupta and\n                  Donghyuk Lee and\n                  Kais Kudrolli and\n                  William X. Liu and\n                  Hasan Hassan and\n                  Kevin K. Chang and\n                  Niladrish Chatterjee and\n                  Aditya Agrawal and\n                  Mike O'Connor and\n                  Onur Mutlu},\n  editor       = {Konstantinos Psounis and\n                  Aditya Akella and\n                  Adam Wierman},\n  title        = {What Your {DRAM} Power Models Are Not Telling You: Lessons from a\n                  Detailed Experimental Study},\n  booktitle    = {Abstracts of the 2018 {ACM} International Conference on Measurement\n                  and Modeling of Computer Systems, {SIGMETRICS} 2018, Irvine, CA, USA,\n                  June 18-22, 2018},\n  pages        = {110},\n  publisher    = {{ACM}},\n  year         = {2018},\n  url          = {https://doi.org/10.1145/3219617.3219661},\n  doi          = {10.1145/3219617.3219661},\n  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/sigmetrics/GhoseYGLKLHCCAO18.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Voltron: Understanding and Exploiting the Voltage-Latency-Reliability Trade-Offs in Modern DRAM Chips to Improve Energy Efficiency.\n \n \n \n \n\n\n \n Chang, K. K.; Yaglikçi, A. G.; Ghose, S.; Agrawal, A.; Chatterjee, N.; Kashyap, A.; Lee, D.; O'Connor, M.; Hassan, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/1805.03175. 2018.\n \n\n\n\n
\n\n\n\n \n \n \"Voltron:Paper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-1805-03175,\n  author       = {Kevin K. Chang and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Saugata Ghose and\n                  Aditya Agrawal and\n                  Niladrish Chatterjee and\n                  Abhijith Kashyap and\n                  Donghyuk Lee and\n                  Mike O'Connor and\n                  Hasan Hassan and\n                  Onur Mutlu},\n  title        = {Voltron: Understanding and Exploiting the Voltage-Latency-Reliability\n                  Trade-Offs in Modern {DRAM} Chips to Improve Energy Efficiency},\n  journal      = {CoRR},\n  volume       = {abs/1805.03175},\n  year         = {2018},\n  url          = {http://arxiv.org/abs/1805.03175},\n  eprinttype    = {arXiv},\n  eprint       = {1805.03175},\n  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-1805-03175.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study.\n \n \n \n \n\n\n \n Ghose, S.; Yaglikçi, A. G.; Gupta, R.; Lee, D.; Kudrolli, K.; Liu, W. X.; Hassan, H.; Chang, K. K.; Chatterjee, N.; Agrawal, A.; O'Connor, M.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/1807.05102. 2018.\n \n\n\n\n
\n\n\n\n \n \n \"WhatPaper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/abs-1807-05102,\n  author       = {Saugata Ghose and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Raghav Gupta and\n                  Donghyuk Lee and\n                  Kais Kudrolli and\n                  William X. Liu and\n                  Hasan Hassan and\n                  Kevin K. Chang and\n                  Niladrish Chatterjee and\n                  Aditya Agrawal and\n                  Mike O'Connor and\n                  Onur Mutlu},\n  title        = {What Your {DRAM} Power Models Are Not Telling You: Lessons from a\n                  Detailed Experimental Study},\n  journal      = {CoRR},\n  volume       = {abs/1807.05102},\n  year         = {2018},\n  url          = {http://arxiv.org/abs/1807.05102},\n  eprinttype    = {arXiv},\n  eprint       = {1807.05102},\n  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-05102.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2017\n \n \n (3)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n Understanding Reduced-Voltage Operation in Modern DRAM Devices: Experimental Characterization, Analysis, and Mechanisms.\n \n \n \n \n\n\n \n Chang, K. K.; Yaglikçi, A. G.; Ghose, S.; Agrawal, A.; Chatterjee, N.; Kashyap, A.; Lee, D.; O'Connor, M.; Hassan, H.; and Mutlu, O.\n\n\n \n\n\n\n Proc. ACM Meas. Anal. Comput. Syst., 1(1): 10:1–10:42. 2017.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/pomacs/ChangYGACKLOHM17,\n  author       = {Kevin K. Chang and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Saugata Ghose and\n                  Aditya Agrawal and\n                  Niladrish Chatterjee and\n                  Abhijith Kashyap and\n                  Donghyuk Lee and\n                  Mike O'Connor and\n                  Hasan Hassan and\n                  Onur Mutlu},\n  title        = {Understanding Reduced-Voltage Operation in Modern {DRAM} Devices:\n                  Experimental Characterization, Analysis, and Mechanisms},\n  journal      = {Proc. {ACM} Meas. Anal. Comput. Syst.},\n  volume       = {1},\n  number       = {1},\n  pages        = {10:1--10:42},\n  year         = {2017},\n  url          = {https://doi.org/10.1145/3084447},\n  doi          = {10.1145/3084447},\n  timestamp    = {Sun, 19 Jan 2025 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/journals/pomacs/ChangYGACKLOHM17.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding Reduced-Voltage Operation in Modern DRAM Devices: Experimental Characterization, Analysis, and Mechanisms.\n \n \n \n \n\n\n \n Chang, K. K.; Yaglikçi, A. G.; Ghose, S.; Agrawal, A.; Chatterjee, N.; Kashyap, A.; Lee, D.; O'Connor, M.; Hassan, H.; and Mutlu, O.\n\n\n \n\n\n\n In Hajek, B. E.; Oh, S.; Chaintreau, A.; Golubchik, L.; and Zhang, Z., editor(s), Proceedings of the 2017 ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, Urbana-Champaign, IL, USA, June 05 - 09, 2017, pages 52, 2017. ACM\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/sigmetrics/ChangYGACKLOHM17,\n  author       = {Kevin K. Chang and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Saugata Ghose and\n                  Aditya Agrawal and\n                  Niladrish Chatterjee and\n                  Abhijith Kashyap and\n                  Donghyuk Lee and\n                  Mike O'Connor and\n                  Hasan Hassan and\n                  Onur Mutlu},\n  editor       = {Bruce E. Hajek and\n                  Sewoong Oh and\n                  Augustin Chaintreau and\n                  Leana Golubchik and\n                  Zhi{-}Li Zhang},\n  title        = {Understanding Reduced-Voltage Operation in Modern {DRAM} Devices:\n                  Experimental Characterization, Analysis, and Mechanisms},\n  booktitle    = {Proceedings of the 2017 {ACM} {SIGMETRICS} / International Conference\n                  on Measurement and Modeling of Computer Systems, Urbana-Champaign,\n                  IL, USA, June 05 - 09, 2017},\n  pages        = {52},\n  publisher    = {{ACM}},\n  year         = {2017},\n  url          = {https://doi.org/10.1145/3078505.3078590},\n  doi          = {10.1145/3078505.3078590},\n  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/conf/sigmetrics/ChangYGACKLOHM17.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n
\n \n\n \n \n \n \n \n \n Understanding Reduced-Voltage Operation in Modern DRAM Chips: Characterization, Analysis, and Mechanisms.\n \n \n \n \n\n\n \n Chang, K. K.; Yaglikçi, A. G.; Ghose, S.; Agrawal, A.; Chatterjee, N.; Kashyap, A.; Lee, D.; O'Connor, M.; Hassan, H.; and Mutlu, O.\n\n\n \n\n\n\n CoRR, abs/1705.10292. 2017.\n \n\n\n\n
\n\n\n\n \n \n \"UnderstandingPaper\n  \n \n\n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@article{DBLP:journals/corr/ChangYGACKLOHM17,\n  author       = {Kevin K. Chang and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Saugata Ghose and\n                  Aditya Agrawal and\n                  Niladrish Chatterjee and\n                  Abhijith Kashyap and\n                  Donghyuk Lee and\n                  Mike O'Connor and\n                  Hasan Hassan and\n                  Onur Mutlu},\n  title        = {Understanding Reduced-Voltage Operation in Modern {DRAM} Chips: Characterization,\n                  Analysis, and Mechanisms},\n  journal      = {CoRR},\n  volume       = {abs/1705.10292},\n  year         = {2017},\n  url          = {http://arxiv.org/abs/1705.10292},\n  eprinttype    = {arXiv},\n  eprint       = {1705.10292},\n  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},\n  biburl       = {https://dblp.org/rec/journals/corr/ChangYGACKLOHM17.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n
\n
\n  \n 2014\n \n \n (1)\n \n \n
\n
\n \n \n
\n \n\n \n \n \n \n \n \n GPU based Parallel Image Processing Library for Embedded Systems.\n \n \n \n \n\n\n \n Cavus, M.; Sumerkan, H. D.; Simsek, O. S.; Hassan, H.; Yaglikçi, A. G.; and Ergin, O.\n\n\n \n\n\n\n In Battiato, S.; and Braz, J., editor(s), VISAPP 2014 - Proceedings of the 9th International Conference on Computer Vision Theory and Applications, Volume 1, Lisbon, Portugal, 5-8 January, 2014, pages 234–241, 2014. SciTePress\n \n\n\n\n
\n\n\n\n \n \n \"GPUPaper\n  \n \n\n \n \n doi\n  \n \n\n \n link\n  \n \n\n bibtex\n \n\n \n\n \n\n \n \n \n \n \n \n \n\n  \n \n \n\n\n\n
\n
@inproceedings{DBLP:conf/visapp/CavusSSHYE14,\n  author       = {Mustafa Cavus and\n                  Hakki Doganer Sumerkan and\n                  Osman Seckin Simsek and\n                  Hasan Hassan and\n                  Abdullah Giray Yaglik{\\c{c}}i and\n                  Oguz Ergin},\n  editor       = {Sebastiano Battiato and\n                  Jos{\\'{e}} Braz},\n  title        = {{GPU} based Parallel Image Processing Library for Embedded Systems},\n  booktitle    = {{VISAPP} 2014 - Proceedings of the 9th International Conference on\n                  Computer Vision Theory and Applications, Volume 1, Lisbon, Portugal,\n                  5-8 January, 2014},\n  pages        = {234--241},\n  publisher    = {SciTePress},\n  year         = {2014},\n  url          = {https://doi.org/10.5220/0004859902340241},\n  doi          = {10.5220/0004859902340241},\n  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},\n  biburl       = {https://dblp.org/rec/conf/visapp/CavusSSHYE14.bib},\n  bibsource    = {dblp computer science bibliography, https://dblp.org}\n}\n\n
\n
\n\n\n\n
\n\n\n\n\n\n
\n
\n\n\n\n\n
\n\n\n \n\n \n \n \n \n\n
\n"}; document.write(bibbase_data.data);