RouteNet: Routability Prediction for Mixed-size Designs Using Convolutional Neural Network. Xie, Z., Huang, Y., Fang, G., Ren, H., Fang, S., Chen, Y., & Corporation, N. In Proceedings of the International Conference on Computer-Aided Design, of ICCAD '18, pages 80:1–80:8, New York, NY, USA, 2018. ACM. event-place: San Diego, California
RouteNet: Routability Prediction for Mixed-size Designs Using Convolutional Neural Network [link]Paper  doi  abstract   bibtex   
Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots. In both cases, large macros in mixed-size designs are taken into consideration. Experiments on benchmark circuits show that RouteNet can forecast overall routability with accuracy similar to that of global router while using substantially less runtime. For DRC hotspot prediction, RouteNet improves accuracy by 50% compared to global routing. It also significantly outperforms other machine learning approaches such as support vector machine and logistic regression.
@inproceedings{xie_routenet_2018,
	address = {New York, NY, USA},
	series = {{ICCAD} '18},
	title = {{RouteNet}: {Routability} {Prediction} for {Mixed}-size {Designs} {Using} {Convolutional} {Neural} {Network}},
	isbn = {978-1-4503-5950-4},
	shorttitle = {{RouteNet}},
	url = {http://doi.acm.org/10.1145/3240765.3240843},
	doi = {10.1145/3240765.3240843},
	abstract = {Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots. In both cases, large macros in mixed-size designs are taken into consideration. Experiments on benchmark circuits show that RouteNet can forecast overall routability with accuracy similar to that of global router while using substantially less runtime. For DRC hotspot prediction, RouteNet improves accuracy by 50\% compared to global routing. It also significantly outperforms other machine learning approaches such as support vector machine and logistic regression.},
	urldate = {2019-03-18},
	booktitle = {Proceedings of the {International} {Conference} on {Computer}-{Aided} {Design}},
	publisher = {ACM},
	author = {Xie, Zhiyao and Huang, Yu-Hung and Fang, Guan-Qi and Ren, Haoxing and Fang, Shao-Yun and Chen, Yiran and Corporation, Nvidia},
	year = {2018},
	note = {event-place: San Diego, California},
	keywords = {Computer architecture, Convolutional neural networks, Layout, Machine learning, RouteNet, Routing, Runtime, Task analysis, cell placement solutions, convolutional neural nets, convolutional neural network, design rule checking, design rule violations, electronic engineering computing, integrated circuit design, logistic regression, machine learning approaches, mixed-size designs, network routing, routability prediction, support vector machine},
	pages = {80:1--80:8},
}

Downloads: 0